Przegląd branży półprzewodników (czerwiec–lipiec 2025): Zaawansowane układy, sprzęt i geopolityka

Zaawansowane postępy i przełomy w technologii węzłów
Lato 2025 roku przyniosło znaczące postępy w najnowocześniejszych węzłach technologicznych półprzewodników. Taiwan Semiconductor Manufacturing Co. (TSMC) nadal prowadzi w produkcji w technologii 3 nm, osiągając podobno prawie 90% uzysku w swoim procesie 3 nm – znacznie wyprzedzając konkurencyjny Samsung Foundry, który osiąga około 50% uzysku design-reuse.com. Te różnice w uzysku przyciągnęły do TSMC więcej prestiżowych klientów (takich jak projektanci smartfonów i GPU), co pozwoliło firmie narzucać wyższe ceny design-reuse.com design-reuse.com. Samsung, który jako pierwszy wprowadził technologię gate-all-around (GAA) 3 nm w 2022 roku, nadal ma trudności z osiągnięciem konkurencyjnych uzysków i stracił nawet część zamówień na układy mobilne na rzecz TSMC, choć zdobył zamówienie na Tensor G5 od Google w technologii 3 nm oraz innych nowych klientów dla starszych węzłów design-reuse.com design-reuse.com.
Zarówno TSMC, jak i Samsung ścigają się teraz w kierunku technologii 2 nm (klasa N2). 2 nm TSMC jest na dobrej drodze do produkcji próbnej i celuje w produkcję masową (HVM) pod koniec 2025 lub na początku 2026 roku reuters.com reuters.com. Raporty branżowe z czerwca opisywały „zacięty wyścig” pomiędzy TSMC a Samsungiem o debiut chipów 2 nm, przy czym TSMC jest powszechnie postrzegane jako lider pod względem uzysku i harmonogramu design-reuse.com. Samsung koncentruje się na ulepszaniu swoich węzłów 2 nm i 4 nm oraz podobno odłożył wszelkie inwestycje w „linię testową” 1,4 nm, co wskazuje, że jego węzeł 1,4 nm prawdopodobnie nie pojawi się przed 2028–2029 rokiem design-reuse.com.
Tymczasem Intel realizuje swój agresywny plan rozwoju. Podczas czerwcowego sympozjum VLSI Intel przedstawił szczegóły dotyczące wzrostu wydajności dla nadchodzącego procesu 18A (~klasa 1,8 nm). Według artykułu zaprezentowanego przez Intela, węzeł 18A oferuje >30% skalowanie gęstości logiki oraz do ~20% wyższą wydajność (lub 36% redukcję zużycia energii) w porównaniu do węzła „Intel 3” z 2023 roku bits-chips.com. Jest to osiągane dzięki połączeniu tranzystorów gate-all-around (GAA), zasilania od strony tylnej, zaawansowanych połączeń oraz współoptymalizacji projektu bits-chips.com. Intel ujawnił także rozmiar komórki SRAM na poziomie 0,021 µm² w 18A – porównywalny, choć nieco większy, niż planowana przez TSMC komórka 0,0175 µm² w N2 bits-chips.com. Pierwszy produkt 18A, procesor laptopowy „Panther Lake”, spodziewany jest pod koniec 2025 roku (z masową produkcją w 2026), mniej więcej w tym samym czasie, gdy klienci foundry, tacy jak AMD, planują wprowadzić układy na 2 nm TSMC w 2026 roku bits-chips.com. Te terminy podkreślają, że era sub-2nm jest nieuchronna, a wiele firm przygotowuje się do wprowadzenia układów opartych na technologiach klasy angstremowej w latach 2025–2026.
W wiadomościach naukowych współpraca przemysłu z uczelniami oraz nowe technologie również znalazły się na pierwszych stronach gazet. W Japonii TSMC i Uniwersytet Tokijski oficjalnie otworzyły „TSMC-UTokyo Lab” 12 czerwca, aby rozwijać badania nad półprzewodnikami i kształcenie talentów design-reuse.com design-reuse.com. Jest to pierwsze wspólne laboratorium badawczo-rozwojowe TSMC z uniwersytetem poza Tajwanem, mające na celu wykorzystanie wiedzy UTokyo w zakresie materiałów, urządzeń i procesów do napędzania innowacji w takich obszarach jak nowe struktury tranzystorów, metrologia i pakowanie design-reuse.com design-reuse.com. Na froncie akademickim europejscy naukowcy zaprezentowali w połowie czerwca pierwszy na świecie komputer CMOS z materiałów 2D – laboratoryjną demonstrację podkreślającą potencjalne przyszłe materiały tranzystorowe wykraczające poza krzem (choć takie przełomy są nadal dalekie od komercyjnego wdrożenia) bits-chips.com.Litografia EUV: Początek ery High-NA
Przejście do litografii nowej generacji zrobiło krok naprzód w tym okresie, gdy systemy ASML’s High-NA EUV zaczęły trafiać do fabryk producentów układów scalonych. ASML, holenderski producent sprzętu i jedyny dostawca skanerów EUV, zbudował ograniczoną liczbę “EXE:5000” High-NA EUV machines (pierwsza iteracja optyki o NA ~0,55). Do połowy 2025 roku ASML dostarczył pięć narzędzi High-NA trzem wiodącym klientom – Intel, TSMC i Samsung – do celów badawczo-rozwojowych reuters.com reuters.com. W rzeczywistości Samsung odebrał swoją pierwszą jednostkę high-NA EUV w Hwaseong w marcu, stając się trzecim producentem układów scalonych (po Intel i TSMC), który zainstalował takie narzędzie na miejscu bits-chips.com. Wszystkie trzy firmy (i inne) mają również dostęp do wspólnego pilotażowego narzędzia High-NA w laboratorium ASML-IMEC w Veldhoven, które zostało otwarte pod koniec 2024 roku, aby przyspieszyć rozwój procesów na wczesnym sprzęcie High-NA bits-chips.com bits-chips.com.Pomimo tego postępu, producenci chipów podchodzą do High-NA EUV ostrożnie. TSMC stwierdziło, że nie znalazło jeszcze „przekonującego powodu”, aby użyć High-NA dla swoich nadchodzących węzłów i może jeszcze trochę wydłużyć stosowanie immersyjnego (0,33 NA) EUV reuters.com reuters.com. Szef technologii TSMC, Kevin Zhang, zauważył, że ~350–400 mln euro za każde narzędzie High-NA (prawie dwa razy więcej niż obecny skaner EUV) trudno uzasadnić, jeśli korzyści nie są oczywiste reuters.com reuters.com. TSMC potwierdziło, że nie wdroży High-NA w swojej generacji 2 nm (N2, zwanej także „A16”), a być może nawet nie przy pierwszym ulepszeniu tego węzła reuters.com reuters.com. Intel, przeciwnie, publicznie poparł High-NA: planuje użyć maszyny ASML High-NA dla swojego procesu Intel 14A już w latach 2026-27, mając nadzieję na odzyskanie przewagi technologicznej reuters.com. Intel otrzymał swoje pierwsze narzędzie High-NA i rozpoczął w tym roku wstępny montaż/testy, przygotowując się do pilotażowej produkcji w 2026 roku reuters.com. Mimo to Intel przyznaje, że klienci mogą zrezygnować, jeśli technologia nie będzie dojrzała, a według wytycznych ASML lata 2026–2027 będą przeznaczone na udowodnienie skuteczności High-NA w produkcji wielkoseryjnej, a szersza adopcja nastąpi dopiero w późniejszych zaawansowanych węzłach reuters.com reuters.com.
Plan działania ASML dotyczący EUV pozostaje na właściwej ścieżce. Firma przygotowuje drugą generację narzędzia High-NA (EXE:5200) do wysyłki „wkrótce” – te maszyny klasy produkcyjnej będą potrzebne do prawdziwego wdrożenia na masową skalę w fabrykach bits-chips.com. Obserwatorzy branży spodziewają się, że Intel otrzyma pierwsze egzemplarze EXE:5200, ponieważ planuje koniec 2026/początek 2027 jako początkowy termin użycia High-NA w produkcji bits-chips.com. TSMC i Samsung nie ogłosiły jeszcze harmonogramów produkcji masowej dla High-NA, prawdopodobnie czekając na EXE:5200 i jaśniejszy obraz kosztów/korzyści. Tymczasem inżynierowie wyciskają więcej z istniejącego EUV: TSMC twierdzi, że jego bazowe narzędzia EUV 0,33 NA mogą obsłużyć jeszcze kilka iteracji zmniejszania wymiarów dzięki trikom takim jak wielokrotne wzorcowanie i ulepszone rezysty, opóźniając pilną potrzebę wdrożenia High-NA reuters.com reuters.com.
Trwają także inne innowacje w dziedzinie litografii i wzorcowania. Lam Research, duża firma produkująca sprzęt do trawienia, opracowuje technologię suchego rezystu dla litografii EUV, która może poprawić rozdzielczość wzoru i uprościć etapy procesu dzięki zastosowaniu nowatorskich technik osadzania-trawienia tradingview.com. ASML zasugerowało również, że na horyzoncie pojawiają się jeszcze bardziej radykalne rozwiązania – firma prowadzi badania nad skanerem litograficznym „bezmaskowym” (potencjalnie wykorzystującym metody wielowiązkowe lub obliczeniowe) jako przyszłe uzupełnienie lub następcę EUV bits-chips.com. Chociaż EUV pozostanie podstawowym narzędziem dla węzłów poniżej 5 nm, te postępy w dziedzinie rezystów, trawienia, a nawet wzorcowania po-EUV pokazują dążenie ekosystemu do utrzymania prawa Moore’a przy życiu do końca lat 2020.
Producenci sprzętu półprzewodnikowego: aktualizacje i sojusze
Najwięksi światowi dostawcy sprzętu do produkcji układów scalonych – ASML, Applied Materials, Tokyo Electron i Lam Research – poruszali się w złożonym krajobrazie gwałtownie rosnącego zapotrzebowania technologicznego i geopolitycznych przeciwności w okresie czerwiec–lipiec 2025 roku. Sytuacja ASML pozostaje wyjątkowa, ponieważ jej narzędzia EUV są niezbędne do produkcji najbardziej zaawansowanych chipów, ale jednocześnie podlegają kontrolom eksportowym (więcej na ten temat w sekcji dotyczącej polityki). Pomimo pewnej cyklicznej słabości w 2024 roku, analitycy oczekują, że ASML silnie odbije w 2025 roku, osiągając około 20% wzrostu przychodów wraz ze wzrostem zamówień na zaawansowaną litografię seekingalpha.com. Rzeczywiście, prezes ASML powiedział, że firma jest na dobrej drodze do „ogromnego wzrostu” w 2025 roku, napędzanego uruchomieniem fabryk 3nm/2nm oraz wczesną sprzedażą narzędzi High-NA seekingalpha.com.
Inne firmy z branży sprzętu pozycjonują się wokół nowych trendów. Applied Materials, największy producent narzędzi do nanoszenia i trawienia warstw, 16 czerwca rozszerzył współpracę badawczą z CEA-Leti (francuskim instytutem badań nad mikroelektroniką). Wspólne laboratorium skoncentruje się na inżynierii materiałowej dla wyspecjalizowanych półprzewodników – w szczególności mając na celu opracowanie bardziej energooszczędnych chipów dla AI i centrów danych ir.appliedmaterials.com. Applied inwestuje także w opakowania chipów nowej generacji: na początku lipca ogłosił strategiczny udział w BE Semiconductor Industries (Besi), holenderskiej firmie produkującej zaawansowany sprzęt do pakowania układów, nabywając około 9% akcji Besi globenewswire.com. Ta inwestycja podkreśla, jak kluczowa stała się heterogeniczna integracja (chiplet i opakowania 3D), zacierając granicę między technologiami produkcji (front-end) a montażem (back-end).
Tokyo Electron (TEL), czołowy japoński dostawca sprzętu, przyjął optymistyczny ton w obliczu rosnącej konkurencji z Chin. CEO TEL publicznie stwierdził, że firma jest na dobrej drodze, aby poszerzyć swoją przewagę nad chińskimi producentami narzędzi do produkcji chipów pomimo miliardowych inwestycji Chin x.com. Pod koniec czerwca TEL zauważył, że amerykańskie ograniczenia eksportowe ograniczają jego sprzedaż do Chin (prognozując spadek udziału przychodów z Chin do około 30% w tym roku) trendforce.com, ale popyt z innych regionów – szczególnie na narzędzia umożliwiające rozwój procesów poniżej 2 nm – pozostaje silny. W ramach jednej ze współprac TEL i europejski imec przedłużyły partnerstwo, aby przyspieszyć badania nad węzłem „poniżej 2 nm”, co zaowocowało przełomami w technikach High-NA EUV patterning, trawienia i osadzania dla przyszłych tranzystorów bisinfotech.com.
Podobnie, Lam Research wprowadza innowacje w zakresie EUV i skalowania pamięci. Nowa technologia suchego fotorezystu firmy (wprowadzona na SEMICON West 2025) ma poprawiać rozdzielczość EUV i ograniczać odpady, łącząc osadzanie plazmowe i trawienie w jednym systemie tradingview.com. Lam pracuje również nad rozwiązaniami do trawienia nowej generacji dla 3D NAND, gdzie liczba warstw przekracza już 300+; konkurencyjny TEL niedawno zaprezentował także nowe, wydajne narzędzie do trawienia NAND tel.com. Te postępy producentów sprzętu są kluczowymi czynnikami umożliwiającymi realizację planów producentów chipów w zakresie logiki i pamięci – od patterningu tranzystorów w skali angstremowej po wiercenie przez „wieżowce” stosów NAND.
Zaawansowane opakowania i innowacje chipletowe
Wraz z coraz większymi wyzwaniami w skalowaniu, branża w połowie 2025 roku położyła większy nacisk na zaawansowane opakowania, aby utrzymać wzrost wydajności. Zarówno uznane firmy, jak i nowi gracze zaprezentowali podejścia oparte na opakowaniach, mające na celu zwiększenie mocy obliczeniowej:
- Huawei przyciągnęło uwagę mediów w czerwcu ambitnym projektem procesora AI opartego na chipletach. Chiński gigant technologiczny złożył patenty na cztero-chipletowy układ AI „Ascend 910D”, mający na celu rywalizację z najlepszymi GPU Nvidii dzięki zaawansowanemu pakietowaniu, a nie najnowocześniejszej litografii trendforce.com. 910D miałby łączyć cztery identyczne chipletów w jednym opakowaniu – koncepcja ta przypomina wielomatrycową architekturę GPU „Rubin” Nvidii trendforce.com. Projekt Huawei wykorzystuje połączenia mostkowe (podobne do CoWoS-L firmy TSMC lub EMIB/Foveros Intela) zamiast dużego interpozytora krzemowego trendforce.com. Jeśli się powiedzie, takie podejście mogłoby pozwolić Huawei obejść część amerykańskich sankcji poprzez połączenie kilku mniej zaawansowanych układów w jeden potężny moduł trendforce.com. Analitycy zauważyli, że układ może być ogromny – potencjalnie ponad 4 000 mm² krzemu łącznie, biorąc pod uwagę cztery matryce obliczeniowe oraz 16 stosów pamięci o dużej przepustowości (HBM) trendforce.com. Tak ogromny pakiet stanowiłby wyzwanie dla obecnych technologii pakietowania (niemal pięciokrotnie większy od pojedynczego retikulum EUV), ale pokazuje, jak chińscy gracze wykorzystują zaawansowane pakietowanie, by pozostać w wyścigu trendforce.com trendforce.com.
- W całej branży chipletsy i opakowania 2.5D/3D przekształcają plany rozwoju produktów. BE Semiconductor (Besi), kluczowy dostawca sprzętu do montażu układów scalonych, poinformował, że „przyspieszone wdrażanie struktur chipletowych na poziomie wafla 2.5D i 3D” już trwa, ponieważ rosną zastosowania zorientowane na dane, a tradycyjne Prawo Moore’a zwalnia bits-chips.com. Prezes Besi podkreślił, że zarówno klienci z segmentu logiki, jak i pamięci przechodzą na architektury chipletowe, co napędza popyt na zaawansowane rozwiązania opakowaniowe (takie jak wysokogęstościowe bumping, hybrydowe łączenie i układanie wafli) bits-chips.com. Ten trend skłonił Besi do znacznego podniesienia długoterminowej prognozy sprzedaży, co odzwierciedla optymizm wobec rynku opakowań bits-chips.com. Rzeczywiście, główni producenci układów scalonych, tacy jak AMD i Intel, już dostarczają produkty z wieloma układami w jednym opakowaniu (na przykład procesory PC z wyższej półki z chipletami obliczeniowymi i I/O), a TSMC i Samsung oferują obecnie zaawansowane usługi opakowaniowe foundry (CoWoS, InFO, X-Cube, I-Cube itd.) do integracji pamięci HBM i chipletów dla akceleratorów AI.
- Partnerstwa w zakresie zaawansowanych opakowań również się pojawiły. Oprócz wspomnianej współpracy Applied Materials-Besi, w czerwcu pojawiły się doniesienia, że UMC (tajwańska foundry) zwiększa moce produkcyjne zaawansowanych opakowań we współpracy z zewnętrznymi partnerami trendforce.com. A w Europie konsorcja badawcze w ramach programów takich jak EU Chip Acts finansują linie pilotażowe dla heterogenicznej integracji, uznając opakowania za strategiczną kompetencję. Nawet rządy angażują się w ten proces: amerykańskie ramię badawczo-rozwojowe ustawy CHIPS inwestuje w centra prototypowania opakowań, a japońskie METI uruchomiło projekty mające na celu standaryzację chipletów. Wszystkie te działania podkreślają kluczowy punkt: opakowania to nowy obszar rywalizacji o wydajność. Jak zauważył jeden z obserwatorów branży: „zaawansowane opakowania chipów robią dziś więcej niż tylko chronią – zwiększają wydajność i efektywność”, stając się niezbędne dla procesorów, układów graficznych i chipów AI nowej generacji.
Perspektywy rynkowe i komentarze kadry zarządzającej
W tym okresie przedstawiciele branży i analitycy przedstawiali na ogół optymistyczne – choć ostrożne – prognozy dla sektora półprzewodników, zwłaszcza dla zaawansowanych technologicznie węzłów i popytu związanego ze sztuczną inteligencją:
- Kierownictwo TSMC oczekuje powrotu silnego wzrostu w 2025 roku dzięki najnowocześniejszym węzłom technologicznym. Podczas telekonferencji dotyczącej wyników finansowych oraz w późniejszych oświadczeniach, TSMC prognozowało, że „zaawansowane technologie” (zdefiniowane jako 7 nm i poniżej) będą stanowić około 80% przychodów z wafli w 2025 roku asiaconnectmagazine.com. Firma odnotowała gwałtownie rosnące zainteresowanie produkcją w klasie 3 nm dla nowych SoC do smartfonów oraz akceleratorów AI dla chmury, a także utrzymała harmonogram produkcji testowej w technologii 2 nm. Dyrektor generalny TSMC, C.C. Wei, podkreślił również, że układy AI (dla centrów danych) stały się kluczowym motorem wzrostu, tworząc zupełnie nowe rynki dla najbardziej zaawansowanych procesów firmy design-reuse.com. Potwierdzając to, analiza z połowy czerwca nazwała TSMC „Królem AI dla centrów danych”, ponieważ zdecydowana większość najnowocześniejszych układów AI (od firm takich jak NVIDIA, Apple i Amazon) jest produkowana w liniach TSMC 5 nm i 4 nm, a zamówienia na 3 nm i 2 nm są już w realizacji design-reuse.com.
- Kierownictwo Samsung Electronics, mimo opóźnień w foundry, wskazało na pozytywne aspekty w obszarze pamięci i pakowania. Dział pamięci Samsunga rozpoczął próbkowanie pamięci DDR5 i HBM4 nowej generacji oraz współpracuje z partnerami nad interfejsami przyjaznymi chipletom. Przedstawiciele firmy wierzą, że wczesne wdrożenie tranzystorów GAA w technologii 3 nm przyniesie korzyści przy 2 nm. Jednak kierownictwo Samsunga prywatnie przyznało, że konieczna jest poprawa wydajności i odzyskanie klientów foundry; firma rozpoczęła przetasowania kadrowe, zatrudniając byłego dyrektora TSMC, aby wzmocnić zespół inżynierii procesowej w Teksasie dr-ipms.com. Publiczne oświadczenia Samsunga potwierdziły zobowiązanie do uruchomienia produkcji 2 nm do 2025 roku oraz inwestycji w badania i rozwój 1,4 nm, choć w dłuższej perspektywie czasowej design-reuse.com.
- Dyrektor generalny Intela, Pat Gelsinger oraz szefowa ds. technologii Ann Kelleher wyrazili pewność co do drogi Intela do odzyskania parytetu technologicznego. Wskazali na terminową dostawę układów Intel 4 (7 nm) oraz szybsze niż planowano postępy w pracach nad węzłami 20A i 18A jako dowód, że plan Intela „pięć węzłów w cztery lata” działa. Intel zabiega o dużych klientów bezfabrycznych dla swojego biznesu Intel Foundry Services (IFS), wykorzystując nadchodzący proces 18A (z technologią High-NA EUV) jako atut sprzedażowy reuters.com. Jednak analitycy branżowi ostrzegają, że sukces Intela zależy także od oprogramowania i zaawansowanego pakowania – stąd duże inwestycje Intela w nowoczesne technologie pakowania (np. „EMIB” i „Foveros”, które będą również oferowane klientom foundry).
- W Europie w maju pojawił się znaczący apel branżowy, który rozbrzmiewał przez wczesne lato: prezes SEMI na Europę wezwał UE do czterokrotnego zwiększenia finansowania półprzewodników w kolejnym cyklu budżetowym reuters.com reuters.com. Obecna Europejska Ustawa o Chipach o wartości 43 mld euro, w dużej mierze wspierana przez państwa członkowskie, jest uznawana za niewystarczającą, by osiągnąć cel Europy, jakim jest 20% udział w światowym rynku chipów do 2030 roku reuters.com reuters.com. Przy obecnym tempie Europa może osiągnąć tylko około 11% udziału do 2030 roku reuters.com reuters.com. Przedstawiciele europejskich firm, takich jak ASML i Infineon, również lobbowali za „Chips Act 2.0” – większym, paneuropejskim funduszem mającym przyciągnąć więcej projektów fabryk. Latem odnotowano stopniowe postępy: władze UE zatwierdziły pomoc państwa dla nowych zakładów półprzewodnikowych (w tym fabryki półprzewodników mocy kierowanej przez Infineon w Dreźnie), a budowa fabryki Intela w Magdeburgu i wspólnego zakładu TSMC w Niemczech posuwała się naprzód dzięki wsparciu rządowemu.
- Analitycy branżowi pozostali optymistyczni wobec segmentów takich jak motoryzacja i układy AI. Badacze rynku odnotowali wzrost wydatków kapitałowych w branży półprzewodników; szczególnie Chiny miały przewodzić inwestycjom w sprzęt do produkcji chipów w 2025 roku z kwotą około 38 miliardów dolarów (pomimo kontroli eksportu), co odzwierciedla budowę nowych fabryk pamięci i odlewni starszych generacji taipeitimes.com. Jednocześnie amerykańscy analitycy przewidywali, że układy skoncentrowane na AI (GPU, TPU, akceleratory) będą najszybciej rosnącym segmentem chipów do 2025 roku, potencjalnie równoważąc słabość na rynku smartfonów i komputerów PC. Ten optymizm jest jednak łagodzony przez kwestie związane z łańcuchem dostaw – np. CEO NVIDIA ostrzegał przed możliwymi ograniczeniami podaży GPU AI, jeśli rozbudowa fabryk lub polityka eksportowa nie nadąży za popytem. Ogólny konsensus pod koniec lipca był taki, że spadek na rynku półprzewodników w 2023 roku osiągnął dno, a ożywienie w 2024 roku i silny wzrost w 2025 roku będą napędzane przez najnowocześniejsze technologie i ekspansję wspieraną przez rządy.
Geopolityka i polityka: ustawa CHIPS, ograniczenia eksportowe i odpowiedź Chin
Polityka rządowa i manewry geopolityczne miały ogromny wpływ na krajobraz półprzewodników w czerwcu–lipcu 2025 roku. W Stanach Zjednoczonych nowa administracja skorygowała kurs w sprawie zachęt dla branży chipów i kontroli eksportu:
- Ustawa CHIPS and Science Act – program o wartości 52,7 miliarda dolarów mający na celu zwiększenie produkcji chipów w USA – przeszła renegocjację swoich grantów. Sekretarz handlu Howard Lutnick powiedział Kongresowi 4 czerwca, że wiele przyznanych za czasów Bidena grantów CHIP było „zbyt hojne”, a administracja Trumpa renegocjuje je na lepszych warunkach reuters.com reuters.com. Niektóre umowy mogą zostać całkowicie anulowane, jeśli zostaną uznane za niepotrzebne. Jako przykład Lutnick podał projekt TSMC w Arizonie: pierwotnie obiecano 6 miliardów dolarów federalnych grantów, TSMC zgodziło się zwiększyć swoje inwestycje w USA o 100 miliardów dolarów (z 65 mld do 165 mld) w zamian za utrzymanie tych samych 6 mld dolarów zachęty reuters.com reuters.com. Sugeruje to, że TSMC znacznie rozbuduje swój kompleks fabryk w Arizonie poza dwie pierwotnie planowane fabryki – co Lutnick określił jako „więcej korzyści za te same pieniądze” dla amerykańskich podatników reuters.com reuters.com. (TSMC odmówiło komentarza na temat szczegółów.) Inni beneficjenci, tacy jak Samsung, Intel, Micron i SK Hynix, również są poddawani przeglądowi, a Departament Handlu zasugerował, że niektóre granty mogą zostać zmniejszone lub opóźnione reuters.com reuters.com. Celem, według urzędników, jest zapewnienie, że firmy w zamian za subsydia zapewnią większe inwestycje i moce produkcyjne w kraju. Równolegle, ogłoszono duże nowe krajowe inwestycje. 12 czerwca Biały Dom ujawnił historyczny plan inwestycyjny firmy Micron Technology o wartości 200 miliardów dolarów na rozbudowę produkcji układów pamięci w USA nist.gov nist.gov. Micron, jedyny wiodący amerykański producent DRAM, wykorzysta te środki (rozłożone na dekadę lub dłużej) na budowę drugiej mega-fabryki w Boise, Idaho, rozbudowę fabryki w Manassas w stanie Wirginia (przenosząc część starszych technologii DRAM z Tajwanu do Wirginii) oraz potencjalnie dodanie dwóch kolejnych fabryk w północnej części stanu Nowy Jork nist.gov nist.gov. Zobowiązanie w wysokości 200 mld dolarów – jedno z największych w historii branży półprzewodników – jest wspierane przez dodatkowe 275 milionów dolarów w grantach z ustawy CHIPS (oprócz 6,1 mld dolarów, które Micron otrzymał pod koniec 2024 roku na wcześniejsze plany) nist.gov. Przedstawiciele podkreślali, że projekty te stworzą do 90 000 miejsc pracy w różnych stanach i „przywrócą amerykańskie przywództwo w produkcji układów scalonych”, zwłaszcza w dziedzinie pamięci i zaawansowanego pakowania (Micron zbuduje także zakłady do pakowania pamięci o dużej przepustowości) nist.gov nist.gov. To ogłoszenie zostało przedstawione jako wielkie zwycięstwo dla programu „America First” administracji Trumpa w dziedzinie technologii nist.gov nist.gov.
- Stany Zjednoczone również zaostrzyły kontrolę eksportu, mając na celu odcięcie Chinom dostępu do kluczowych surowców do produkcji chipów. Pod koniec maja Biuro Przemysłu i Bezpieczeństwa Departamentu Handlu po cichu poinstruowało firmy, że szeroka gama technologii będzie teraz wymagać licencji eksportowej na wysyłkę do Chin reuters.com reuters.com. Nowe ograniczenia obejmują oprogramowanie do projektowania półprzewodników (narzędzia EDA), niektóre specjalistyczne chemikalia używane w produkcji chipów, a także inne produkty niebędące chipami, takie jak przemysłowe narzędzia maszynowe, a nawet niektóre części lotnicze reuters.com. Listy zostały wysłane do gigantów EDA: Cadence, Synopsys i Siemens EDA, informując ich, że każdy eksport oprogramowania do Chin będzie podlegał indywidualnej ocenie licencyjnej reuters.com reuters.com. To skutecznie stawia chińskie firmy projektujące chipy w stanie gotowości, ponieważ ponad 70% udziału w rynku EDA w Chinach pochodzi od tych amerykańskich dostawców reuters.com. Podobnie, dostawcy zaawansowanych fotorezystów i materiałów otrzymali listy wstrzymujące istniejące licencje. Ruch USA spowodował początkowy spadek akcji firm EDA reuters.com, a także spotkał się z potępieniem ze strony Pekinu – chińskie ministerstwo spraw zagranicznych oskarżyło Waszyngton o „uzbrajanie technologii i handlu” w celu osłabienia Chin, zapowiadając, że żadne „zastraszanie” nie powstrzyma dążenia Chin do samowystarczalności reuters.com. Co istotne, środki te pojawiły się tuż po tym, jak USA już wcześniej zabroniły sprzedaży nowego H20 AI GPU firmy NVIDIA do Chin w kwietniu reuters.com, a także dodały różne chińskie firmy AI do czarnych list eksportowych. Waszyngton wyraźnie celuje w wąskie gardła: odmawiając Chinom zarówno najnowszych chipów jak i narzędzi do projektowania lub produkcji thma nadzieję spowolnić technologiczny wzrost Chin.
- Urzędnicy USA naciskali również na kraje sojusznicze, aby dostosowały się do tych ograniczeń. W połowie czerwca podsekretarz handlu USA Alan Estevez i jego zastępca Jeremy Kress (jak podaje Nikkei) ostrzegli, że kraje takie jak Holandia i Japonia muszą „dostosować się” do amerykańskich zasad eksportowych albo ryzykują jednostronne działania USA bits-chips.com. Holandia – siedziba ASML – już wprowadziła kilka rund ograniczeń dotyczących sprzętu litograficznego (blokując eksport EUV ASML, a nawet najbardziej zaawansowanych skanerów DUV do Chin) bits-chips.com. Jednak jednym z punktów spornych jest serwisowanie sprzętu: holenderska polityka wciąż pozwala ASML na serwisowanie istniejących urządzeń w Chinach, podczas gdy USA chcą odcięcia nawet usług serwisowych bits-chips.com. Przedstawiciele USA zasugerowali zamknięcie takich luk i możliwe rozszerzenie Zasady Produktu Bezpośredniego na kontrolę wszelkich przepływów technologii do Chin bits-chips.com. Pod koniec lipca pojawiły się doniesienia, że USA rozważają cofnięcie zezwoleń eksportowych, które pozwalały firmom Samsung i SK hynix na dalsze prowadzenie fabryk w Chinach z użyciem zaawansowanego sprzętu reuters.com. Przekaz z Waszyngtonu był jednoznaczny: sojusznicy nie powinni „podważać” amerykańskich sankcji poprzez uzupełnianie braków lub pobłażliwość bits-chips.com.
Chiny z kolei odpowiedziały mieszanką technologicznych obejść i pozyskiwania kapitału. Chińskie firmy półprzewodnikowe postawiły na krajowe innowacje i finansowanie w obliczu amerykańskiej ofensywy:
- Kilka chińskich startupów z branży chipów zdecydowało się wejść na giełdę na rynku STAR w Szanghaju, aby pozyskać fundusze. 1 lipca, z siedzibą w Pekinie Moore Threads (deweloper GPU) oraz z siedzibą w Szanghaju MetaX (akceleratory AI) złożyły wnioski o IPO, mając na celu zebranie łącznie 12 miliardów juanów (~1,65 mld USD) reuters.com reuters.com. Obie firmy wyraźnie wskazały amerykańskie ograniczenia eksportowe jako szansę na wzrost, argumentując, że jeśli Nvidia i inni nie mogą sprzedawać zaawansowanych chipów do Chin, krajowi operatorzy centrów danych i producenci OEM zwrócą się ku chińskim projektom GPU reuters.com reuters.com. „Ograniczenia ze strony USA… skłaniają chińskie firmy do przyspieszenia krajowej substytucji”, napisało Moore Threads, zauważając, że presja geopolityczna zmusza chińskich klientów do korzystania z rodzimych rozwiązań reuters.com reuters.com. Firmy te, założone przez byłych pracowników Nvidii i AMD, wciąż są nierentowne i pozostają w tyle pod względem wydajności, ale chińskie banki polityczne i lokalni inwestorzy inwestują duże środki, by zniwelować tę różnicę reuters.com reuters.com. Zaledwie tydzień wcześniej konkurencyjny producent chipów AI, Biren Technology, podobno zebrał 1,5 mld juanów i przygotowywał się do IPO w Hongkongu reuters.com. Ta fala prób pozyskania finansowania podkreśla strategię Pekinu: budować „narodowych czempionów” wśród projektantów chipów do GPU, AI i akceleratorów, wspieranych przez państwo i chronionych udziałem w rynku. Na froncie produkcyjnym, chińskie odlewnie i producenci chipów koncentrują się na dojrzałych technologiach i kreatywnym pakowaniu, aby obejść zależność od EUV. Semiconductor Manufacturing International Corp (SMIC) podobno udoskonala swój proces klasy 7 nm (wytwarzany przez multipatterning DUV), a nawet pracuje nad 5 nm z użyciem krajowych narzędzi, choć na niską skalę. Co ważniejsze, jak wspomniano, firmy takie jak Huawei inwestują w architektury chipletów, aby połączyć wiele starszych generacji chipów w jeden zaawansowany moduł trendforce.com trendforce.com. Może to częściowo zrekompensować brak możliwości produkcji jednolitego, wydajnego chipa. Dodatkowo, Chiny wykorzystują swoje mocne strony w obszarach takich jak pamięci – Yangtze Memory (YMTC) zwiększa produkcję 3D NAND flash z ponad 200 warstwami, podczas gdy CXMT i inni rozwijają DRAM na starszych technologiach – które nie są tak ściśle ograniczane.
- Materiały i minerały stały się również frontem w geopolityce technologicznej. W lipcu pojawiły się doniesienia, że Chiny rozważają kontrolę eksportu galu i germanu, dwóch metali kluczowych dla półprzewodników i zastosowań obronnych, w odpowiedzi na działania USA. (Chiny są głównym światowym dostawcą obu tych metali.) I w zaskakującym ruchu, Indie ogłosiły pod koniec czerwca wstrzymanie eksportu pierwiastków ziem rzadkich do wybranych krajów, aby rozwinąć własne przetwórstwo – decyzja ta może wpłynąć na łańcuch dostaw Japonii dla sprzętu do produkcji chipów, który opiera się na magnesach ziem rzadkich trendforce.com trendforce.com. Te wydarzenia podkreślają, jak „zimna wojna” półprzewodnikowa skłania państwa do oceny nie tylko chipów, ale także surowców stanowiących podstawę elektroniki.
Wreszcie, główne inicjatywy na wzór CHIPS Act na całym świecie poczyniły postępy w tym okresie. Wdrażanie EU Chips Act posunęło się naprzód: do lipca UE zatwierdziła finansowanie pięciu pilotażowych linii półprzewodnikowych o łącznej wartości 3,7 mld euro finansowania publicznego digital-strategy.ec.europa.eu, koncentrując się na takich obszarach jak półprzewodniki złożone i 3D packaging. W Japonii rząd podwoił budżet na strategię chipową i zainaugurował budowę nowej fabryki Rapidus (która ma produkować chipy 2 nm z technologią IBM do 2027 roku). A w Korei Południowej parlamentarzyści debatowali nad nowymi ulgami podatkowymi, aby utrzymać inwestycje Samsunga i SK Hynix w kraju, uzupełniając już ogłoszone plany wydatków tych firm na ok. 450 mld dolarów do 2030 roku.
Podsumowując, czerwiec i lipiec 2025 roku podkreśliły zarówno impet technologiczny w branży półprzewodników, jak i polityczne przeciwności kształtujące ten sektor. Producenci chipów przełamują nowe bariery – wdrażają produkcję 3 nm na dużą skalę, przygotowują układy 2 nm, a nawet 18A, oraz pionierskie rozwiązania w zakresie zaawansowanego pakowania, aby zwiększyć możliwości krzemu. Producenci sprzętu dostarczają narzędzia (od High-NA EUV po nowatorskie maszyny do trawienia i łączenia), które umożliwiają te postępy. Jednocześnie polityka rządowa – od finansowania po zakazy eksportowe – wpływa na to, gdzie i jak te technologie się materializują. Wydarzenia tego okresu sugerują, że globalny wyścig półprzewodnikowy wchodzi w kluczową fazę: taką, w której innowacje pozostają powszechne, ale łańcuchy dostaw i dostęp do rynku są coraz bardziej ograniczane przez geopolitykę. Świat będzie obserwował, jak te dynamiki rozwiną się w drugiej połowie 2025 roku i później.
Źródła: Najnowsze wiadomości i komunikaty prasowe z Reuters, TrendForce, Bits&Chips, Design & Reuse, oświadczenia firm oraz ogłoszenia rządowe (czerwiec–lipiec 2025) reuters.com reuters.com nist.gov design-reuse.com bits-chips.com trendforce.com, i inne.